In a recent report from foreign media, the demand for artificial intelligence (AI) chips is driving advanced packaging requirements, and it is expected that Nvidia, a major player in the AI chip market, will introduce a new generation of graphics chip architecture next year, accelerating the demand for CoWoS (Chip-on-Wafer-on-Substrate) packaging.

Foreign assessments suggest that Nvidia's H100 graphics chip (GPU) module supply in the fourth quarter could reach 800,000 to 900,000 units, an increase from around 500,000 units in the third quarter. It is estimated that the supply in the first quarter of next year could reach 1 million units, mainly due to the continuous improvement in CoWoS production capacity.

Previously, the industry had been experiencing a shortage of CoWoS capacity, affecting the shipment progress of major AI chip manufacturers, including Nvidia. TSMC (Taiwan Semiconductor Manufacturing Company) mentioned in a late July conference that CoWoS capacity would double, but the supply-demand situation is not expected to ease until the end of 2024.

TSMC's new CoWoS wafer plant, located in the Hsinchu Science Park, is expected to be completed by the end of 2026, with production planned for the second or third quarter of 2027. Observers suggest that TSMC's monthly CoWoS packaging capacity, which was around 10,000 pieces last year, is expected to increase to 11,000 to 12,000 pieces by the end of this year. The fastest growth is expected in the second quarter of next year, with a capacity of 22,000 to 25,000 pieces per month, and a target of 30,000 pieces per month in the latter half of next year.

Regarding CoWoS capacity allocation, foreign analysts estimate that Nvidia can obtain 5,000 to 6,000 CoWoS wafers from TSMC and another 2,000 to 3,000 wafers from outsourced assembly and testing (OSAT) facilities in the backend.

TSMC is set to hold a corporate briefing on the 19th of this month, and with the ongoing shortage of AI chips driving advanced packaging demand, TSMC's CoWoS expansion progress is expected to be closely watched. While TSMC holds the majority of CoWoS capacity orders, other players like ASE Group, AICEL, and UMC are also positioning themselves in the CoWoS packaging manufacturing sector.

According to TrendForce's research, the demand for advanced packaging technology is increasing in AI and HPC (High-Performance Computing) chips. Currently, TSMC's CoWoS is the main choice for AI server chips. CoWoS packaging technology integrates various Logic ICs (such as CPUs, GPUs, ASICs, etc.) and HBM (High Bandwidth Memory), while the oS part connects these CoW modules with solder bumps and packages them on substrates, eventually integrating them into PCBA to become the main computing unit of server motherboards in complete AI server systems.

TrendForce's observations indicate that, with strong demand for high-end AI chips and HBM, TSMC's CoWoS monthly capacity is expected to reach 12,000 by the end of 2023. Nvidia's demand for CoWoS capacity has increased by nearly 50% since the beginning of the year, driven by requirements for AI servers like A100 and H100, and with the growth in demand for high-end AI chips from companies like AMD and Google, the CoWoS capacity is expected to remain tight in the latter half of the year. This strong demand is anticipated to continue into 2024, and with the necessary equipment in place, advanced packaging capacity could grow by 30-40%.

TrendForce notes that, given the urgent demand in AI, it is essential to monitor complementary measures in both HBM and CoWoS production processes, such as Through-Silicon Via (TSV) packaging technology, Interposers, and related equipment like wet process equipment. In light of the sustained strong demand in AI, Nvidia may also explore other advanced packaging solutions, such as those offered by Amkor or Samsung, to address potential supply shortages.